300字范文,内容丰富有趣,生活中的好帮手!
300字范文 > Verilog-LFSR线性反馈移位寄存器

Verilog-LFSR线性反馈移位寄存器

时间:2023-03-24 05:27:20

相关推荐

Verilog-LFSR线性反馈移位寄存器

Verilog-LFSR线性反馈移位寄存器

1 LFSR 是什么2 几道题目2.1 题目1:5-bit LFSR2.2 题目2:3-bit LFSR2.3 题目3:32-bit LFSR

1 LFSR 是什么

在HDLBits网站做到了几道关于LFSR,Liner Feedback Shift Register线性反馈移位寄存器的题目,总结如下。

所谓LFSR,就是指移位寄存器的某些位在移位之前进行了异或操作。普通的移位寄存器中下一级别D触发器的输入是上一级别D触发器的输出,而LFSR下一级别D触发器的输入不是上一级别D触发器饿输出,而是有可能和其他级(一般是最后一级,也就是第0位)进行异或操作之后作为下一级别触发器的输入。下面这个图可以帮助理解。

线性反馈移位寄存器是通常带有几个XOR门的移位寄存器,用于产生移位寄存器的下一个状态。 Galois LFSR是一种特殊的移位寄存器,其中将带有“抽头”的位位置与输出位进行异或运算以产生其下一个值。 如果仔细选择抽头位置,则可以将LFSR设为“最大长度”。 n位的最大长度LFSR在重复之前循环经过2n

本内容不代表本网观点和政治立场,如有侵犯你的权益请联系我们处理。
网友评论
网友评论仅供其表达个人看法,并不表明网站立场。